登录注册
电子行业AI系列之先进封装:后摩尔时代利器,AI+国产化紧缺赛道
戈壁淘金
只买龙头的老司机
2024-02-23 09:20:11

先进封装为后摩尔时代利器,2022-2026年全球市场规模CAGR达9.2%。“后摩尔时代”先进制程升级速度逐渐放缓,同时往前推进边际成本愈发高昂,先进封装成为超越摩尔定律的重要路径。受益于物联网、5G通信、人工智能、大数据等新技术的不断成熟,先进封装市场有望快速成长。据yole数据,2022年全球先进封装市场规模为367亿美元,预测2026年将达到522亿美元,4年CAGR为9.2%,占整体封装市场比重由22年的45%提高至54%,其中2.5D/3D增速最高,2022-2026年CAGR达13.4%,增量主要由AI、HPC、HBM等应用驱动。从竞争格局看,封装市场大部分由封装厂占据,2022年前十大份额加总近60%,top5分别为日月光15%、安靠9%、英特尔7%、台积电7%、长电科技6%。在2.5D/3D领域,台积电处于全球领先地位,有INFO(2D)、CoWoS(2.5D)、SoIC(3D)三种封装形式,借助制造全球领先的工艺技术叠加全球领先的先进封装技术,台积电优势显著。

先进制造+AI芯片进口被禁,大陆先进封装产业亟待发展。2020年,美国将中芯国际列入“实体清单”,限制其14nm及以下制程的扩产。在此背景下,大陆14nm制程产能处于存量无法扩张的状态,先进封装如chiplet作为部分替代方案战略意义凸显。AI作为全球第四大工业革命将带来人类文明史重大变革,全球各个国家和地区将AI列为发展重点,作为AI核心的算力芯片如GPU、CPU等被美国英伟达、intel、AMD完全垄断,2022年10月美国开始禁止大陆进口部分高端算力芯片,大陆发展AI必须自研算力芯片,而大陆先进制造受限,因此先进封装重要性更加凸显。从市场规模看,2025年中国大陆封测市场规模将达到3551.9亿元,2020-25年CAGR达7.2%,增速高于全球2126年的CAGR4.3%。但大陆先进封装占比明显低于全球先进封装占比,2022年大陆封装市场中先进封装的比例仅22%,而全球封装市场中先进封装比例为45%,大陆先进封装发展前景广阔且形势迫切。

先进封装工艺复杂,有望带来设备/材料量价齐升。相比于传统封装“引线键合”的电气连接,先进封装引入Bumping、TSV、RDL关键技术,并在此基础上衍生出FI(扇入)、FO(扇出)、SiP(系统级封装)、FCBGA(倒装球阵列)、FCCSP(倒装大规模封装)、2.5D/3D等多种封装形式。在大数据、AI等海量数据吞吐需求的催化下,先进封装朝着更小I/O间距和RDL线间距方向发展,以实现更密集的I/O接口和更精密的电气连接,目前台积电可在硅转接板上实现亚微米的RDL。在此浪潮下,AI芯片数量高增带来封装需求高增叠加芯片封装工艺难度加大、工艺成本提升,带来单颗芯片封装价值量的提升,两者共同促成先进封装上游设备/材料量价齐升。先进封装带来的新增设备主要有固晶机、混合键合机、电镀设备等,对材料需求的提升主要体现在IC载板、底填胶、TIM材料、塑封料等领域。从竞争格局上看,当前先进封装涉及的核心设备和核心材料,均由海外厂商垄断,国产替代弹性大。

CoWoS封装技术优势突出,引领AI芯片封装新浪潮。CoWoS作为AI应用领域英伟达GPU和HBM的封装技术备受产业关注,该技术于2012年由台积电与赛灵思合作开发。COWOS 2.5D封装通过硅中介层进行互联,实现多芯片封装、高密度互连和功耗优化,诞生10余年来,在中介层面积、异构互联、内存带宽上不断升级。台积电CoWoS-R的RDL线宽/间距可达2/2微米,CoWoS-S可实现亚微米的铜RDL互连。CoWoS的重要应用场景就是HPC、AI领域,英伟达P100、V100和A100等数据中心GPU均使用C oWoS技术,2020年TOP 500超算中有超过一半的算力来自基于台积电CoWoS-S封于台积电CoWoS-S封装技术的芯片。据Verified Market Research数据,2021年全球GPU市场规模为334.7亿美元,预计2030年将达到4773.7亿美元,未来台积电CoWoS将持续受益于GPU市场的蓬勃增长。目前,大陆厂商已在积极布局2.5D/3D封装平台,长电推出了XDFOI、通富推出了VISionS、华天推出了3DMatrix、盛合晶微具备Bump、RDL等技术,公司三维多芯片集成封装项目正在建设、甬矽电子具备Bump、RDL能力且正在布局2.5D/3D封装。

相关标的

封测公司:通富微电、长电科技、甬矽电子、华天科技、晶方科技。

设备公司:
1)价值占比高+成长空间大+国产化率低:固晶机:新益昌、华封科技(未上市)、凯格精机、深科达、快克智能;
2)先进封装核心设备:①引线键合机:奥特维;②半导体点胶机:卓兆点胶、安达智能、凯格精机、大族激光;③晶圆级真空回流焊机:劲拓股份、中科同志(未上市);④划片机:光力科技、大族激光、迈为股份、博杰股份(控股子公司博捷芯);
3)对厂商潜在业绩弹性大:CMP设备:华海清科、奥特维。

材料公司:
1)需求大+国产化率极低:①载板:兴森科技、深南电路;②底填胶:德邦科技、鼎龙股份、华海诚科;③塑封料:华海诚科、飞凯材料;④电镀液:强力新材、上海新阳;⑤光刻胶:彤程新材、上海新阳、艾森股份;
2)其他需求量较大+国产化率偏低:①TIM胶:德邦科技;②临时键合胶:化讯半导体(未上市);③聚酰亚胺:波米科技(未上市)、强力新材。
3)对厂商潜在业绩弹性大:华海诚科、德邦科技、飞凯材料。

风险提示:行业需求不及预期的风险、大陆厂商技术进步不及预期、先进封装技术路线发生分歧、研报使用的信息更新不及时的风险,计算结果存在与实际情况偏差的风险。

作者利益披露:转载,不作为证券推荐或投资建议,旨在提供更多信息,作者不保证其内容准确性。
声明:文章观点来自网友,仅为作者个人研究意见,不代表韭研公社观点及立场,站内所有文章均不构成投资建议,请投资者注意风险,独立审慎决策。
S
甬矽电子
S
华海诚科
S
强力新材
工分
7.75
转发
收藏
投诉
复制链接
分享到微信
有用 12
打赏作者
无用
真知无价,用钱说话
0个人打赏
同时转发
评论(8)
只看楼主
热度排序
最新发布
最新互动
  • 只看TA
    02-23 23:15
    先进封装市场不认
    1
    0
    打赏
    回复
    投诉
  • 只看TA
    02-23 09:32
    谢谢分享
    1
    0
    打赏
    回复
    投诉
  • 只看TA
    03-05 06:56
    谢谢分享
    0
    0
    打赏
    回复
    投诉
  • 只看TA
    02-23 16:30
    感谢分享
    0
    0
    打赏
    回复
    投诉
  • 只看TA
    02-23 16:28
    感谢分享
    0
    0
    打赏
    回复
    投诉
  • 加油奥利给
    下海干活的韭菜种子
    只看TA
    02-23 15:30
    0
    0
    打赏
    回复
    投诉
  • 海浪
    中线波段的老韭菜
    只看TA
    02-23 15:16
    涨知识。
    0
    0
    打赏
    回复
    投诉
  • 韭久为功
    蜜汁自信的老韭菜
    只看TA
    02-23 10:30
    谢谢分享!
    0
    0
    打赏
    回复
    投诉
  • 1
前往